X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fmain%2Fscala%2Fdevices%2Fxilinxvc707mig%2FXilinxVC707MIG.scala;fp=src%2Fmain%2Fscala%2Fdevices%2Fxilinxvc707mig%2FXilinxVC707MIG.scala;h=9567f56a07d1fa3cbbab393c2b8b701b541f0348;hb=4ab5cb022bea37b81b20ff92280bf97658a98467;hp=3bb528970287ba0dadbfe8af3da8d1c615ac78e7;hpb=66b2fd11bd1ec6a8a05c4929893c51c7570284fd;p=sifive-blocks.git diff --git a/src/main/scala/devices/xilinxvc707mig/XilinxVC707MIG.scala b/src/main/scala/devices/xilinxvc707mig/XilinxVC707MIG.scala index 3bb5289..9567f56 100644 --- a/src/main/scala/devices/xilinxvc707mig/XilinxVC707MIG.scala +++ b/src/main/scala/devices/xilinxvc707mig/XilinxVC707MIG.scala @@ -3,11 +3,12 @@ package sifive.blocks.devices.xilinxvc707mig import Chisel._ import chisel3.experimental.{Analog,attach} -import config._ -import diplomacy._ -import uncore.tilelink2._ -import uncore.axi4._ -import rocketchip._ +import freechips.rocketchip.amba.axi4._ +import freechips.rocketchip.chip._ +import freechips.rocketchip.config.Parameters +import freechips.rocketchip.coreplex.CacheBlockBytes +import freechips.rocketchip.diplomacy._ +import freechips.rocketchip.tilelink._ import sifive.blocks.ip.xilinx.vc707mig.{VC707MIGIOClocksReset, VC707MIGIODDR, vc707mig} trait HasXilinxVC707MIGParameters { @@ -34,7 +35,7 @@ class XilinxVC707MIG(implicit p: Parameters) extends LazyModule with HasXilinxVC val xing = LazyModule(new TLAsyncCrossing) val toaxi4 = LazyModule(new TLToAXI4(beatBytes = 8, adapterName = Some("mem"), stripBits = 1)) val indexer = LazyModule(new AXI4IdIndexer(idBits = 4)) - val deint = LazyModule(new AXI4Deinterleaver(p(coreplex.CacheBlockBytes))) + val deint = LazyModule(new AXI4Deinterleaver(p(CacheBlockBytes))) val yank = LazyModule(new AXI4UserYanker) val buffer = LazyModule(new AXI4Buffer)