X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fmain%2Fscala%2Fip%2Fxilinx%2Fvc707mig%2Fvc707mig.scala;fp=src%2Fmain%2Fscala%2Fip%2Fxilinx%2Fvc707mig%2Fvc707mig.scala;h=6f281ecbaea0ce9d9015a8ac7a42f9913fc37dd3;hb=6eddf517a38156a22b9b831ba92626673a11d603;hp=923956b450e5d9d5987c2262d3dd5d5da1c1a916;hpb=b1dfcfc0b0c303ac40c55be208e01e9dca16665e;p=sifive-blocks.git diff --git a/src/main/scala/ip/xilinx/vc707mig/vc707mig.scala b/src/main/scala/ip/xilinx/vc707mig/vc707mig.scala index 923956b..6f281ec 100644 --- a/src/main/scala/ip/xilinx/vc707mig/vc707mig.scala +++ b/src/main/scala/ip/xilinx/vc707mig/vc707mig.scala @@ -2,15 +2,14 @@ package sifive.blocks.ip.xilinx.vc707mig import Chisel._ +import chisel3.experimental.{Analog,attach} import config._ import junctions._ // IP VLNV: xilinx.com:customize_ip:vc707mig:1.0 // Black Box -// Signals named _exactly_ as per MIG generated verilog -trait VC707MIGUnidirectionalIODDR extends Bundle { - //outputs +trait VC707MIGIODDR extends Bundle { val ddr3_addr = Bits(OUTPUT,14) val ddr3_ba = Bits(OUTPUT,3) val ddr3_ras_n = Bool(OUTPUT) @@ -23,10 +22,14 @@ trait VC707MIGUnidirectionalIODDR extends Bundle { val ddr3_cs_n = Bits(OUTPUT,1) val ddr3_dm = Bits(OUTPUT,8) val ddr3_odt = Bits(OUTPUT,1) + + val ddr3_dq = Analog(64.W) + val ddr3_dqs_n = Analog(8.W) + val ddr3_dqs_p = Analog(8.W) } //reused directly in io bundle for sifive.blocks.devices.xilinxvc707mig -trait VC707MIGUnidirectionalIOClocksReset extends Bundle { +trait VC707MIGIOClocksReset extends Bundle { //inputs //differential system clocks val sys_clk_n = Bool(INPUT) @@ -45,14 +48,8 @@ trait VC707MIGUnidirectionalIOClocksReset extends Bundle { //turn off linter: blackbox name must match verilog module class vc707mig(implicit val p:Parameters) extends BlackBox { - val io = new Bundle with VC707MIGUnidirectionalIODDR - with VC707MIGUnidirectionalIOClocksReset { - // bidirectional signals on blackbox interface - // defined here as an output so "__inout" signal name does not have to be used - // verilog does not check the - val ddr3_dq = Bits(OUTPUT,64) - val ddr3_dqs_n = Bits(OUTPUT,8) - val ddr3_dqs_p = Bits(OUTPUT,8) + val io = new Bundle with VC707MIGIODDR + with VC707MIGIOClocksReset { // User interface signals val app_sr_req = Bool(INPUT) val app_ref_req = Bool(INPUT)