X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fperipherals%2Fspi%2Fspi.bsv;h=dbbd023d78fbab54349de4b8332b0b8c02f9f2b6;hb=969fd998f9317eead3915022a6b430188364d32f;hp=aa9007cdb4e53bd2bc6b570c2f8371bb8ef23246;hpb=52a6de3bee973591be83883c257877a22e4f955a;p=shakti-peripherals.git diff --git a/src/peripherals/spi/spi.bsv b/src/peripherals/spi/spi.bsv index aa9007c..dbbd023 100644 --- a/src/peripherals/spi/spi.bsv +++ b/src/peripherals/spi/spi.bsv @@ -31,6 +31,8 @@ SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. package spi; + import AXI4_Lite_Types :: *; + import AXI4_Lite_Fabric :: *; import GetPut::*; import qspi::*; `include "instance_defines.bsv" @@ -70,12 +72,18 @@ package spi; endmethod interface io_out = interface Get method ActionValue#(Bit#(2)) get; - return qspi.out.io_out[1:0]; + Bit#(2) temp; + temp[1] = qspi.out.io_out.get[1]; + temp[0] = qspi.out.io_out.get[0]; + return temp; endmethod endinterface; interface io_out_en = interface Get method ActionValue#(Bit#(2)) get; - return qspi.out.io_out_en[1:0]; + Bit#(2) temp; + temp[1] = qspi.out.io_out_en.get[1]; + temp[0] = qspi.out.io_out_en.get[0]; + return temp; endmethod endinterface; interface io_in = interface Put @@ -85,11 +93,11 @@ package spi; temp[2] = 0; temp[1] = in[1]; temp[0] = in[0]; - qspi.out.io_in(temp); + qspi.out.io_in.put(temp); endmethod endinterface; interface clk_o = qspi.out.clk_o; - interface ncs_o = qspi.ncs_o; + interface ncs_o = qspi.out.ncs_o; endinterface; interface slave = qspi.slave;