X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=techlibs%2Fxilinx%2Fxc3sda_dsp_map.v;h=258f903955aeb9dcc5fc626f214078c9ba409a96;hb=aee439360bba642dcbffe5b803aa9a994b11d183;hp=87348a1737c7551c9a7ec36b67097f6d11a6c153;hpb=b0231df3e5c79c553faed93e3e7af798511a1e28;p=yosys.git diff --git a/techlibs/xilinx/xc3sda_dsp_map.v b/techlibs/xilinx/xc3sda_dsp_map.v index 87348a173..258f90395 100644 --- a/techlibs/xilinx/xc3sda_dsp_map.v +++ b/techlibs/xilinx/xc3sda_dsp_map.v @@ -27,7 +27,7 @@ module \$__MUL18X18 (input [17:0] A, input [17:0] B, output [35:0] Y); .D(18'b0), .P(P_48), - .OPMODE(8'b0000010) + .OPMODE(8'b0000001) ); assign Y = P_48; endmodule