X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=tests%2Fvarious%2Fmuxpack.ys;h=de5eec87f525dbd5d919039457e4839e5a8d394a;hb=ae8305ffcc0c812488163bcc35365d473ce1345d;hp=ef8a6dab98edd30a4da8a09b2a7b414f150e75d7;hpb=15535112b7b4caa1da75274397c5a3ba885a7349;p=yosys.git diff --git a/tests/various/muxpack.ys b/tests/various/muxpack.ys index ef8a6dab9..de5eec87f 100644 --- a/tests/various/muxpack.ys +++ b/tests/various/muxpack.ys @@ -230,13 +230,14 @@ sat -verify -prove-asserts -show-ports miter design -load read hierarchy -top case_overlap -prep +#prep # Do not prep otherwise $pmux's overlapping entry will get removed +proc design -save gold muxpack -#opt # Do not opt otherwise $pmux's overlapping entry will get removed +opt stat select -assert-count 0 t:$mux -select -assert-count 1 t:$pmux +select -assert-count 2 t:$pmux design -stash gate design -import gold -as gold design -import gate -as gate