soc: Don't require dram wishbones signals to be wired by toplevel
authorBenjamin Herrenschmidt <benh@kernel.crashing.org>
Sat, 13 Jun 2020 12:19:33 +0000 (22:19 +1000)
committerBenjamin Herrenschmidt <benh@kernel.crashing.org>
Sat, 13 Jun 2020 12:25:57 +0000 (22:25 +1000)
commitbf7def55035f339be3538aeb01627ce22cac615c
tree1783f353d7c22e7bb7fd1e7f6949ae8d027b527a
parent1ffc89e58bf0adf4d8ca51b7c4f2acaaefe78f52
soc: Don't require dram wishbones signals to be wired by toplevel

Currently, when not using litedram, the top level still has to hook
up "dummy" wishbones to the main dram and control dram busses coming
out of the SoC and provide ack signals.

Instead, make the SoC generate the acks internally when not using
litedram and use defaults to make the wiring entirely optional.

Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
core_flash_tb.vhdl
core_tb.vhdl
fpga/top-generic.vhdl
soc.vhdl
wishbone_types.vhdl