Add option to not flatten hierarchy
authorBenjamin Herrenschmidt <benh@kernel.crashing.org>
Mon, 21 Oct 2019 04:15:07 +0000 (15:15 +1100)
committerBenjamin Herrenschmidt <benh@kernel.crashing.org>
Wed, 30 Oct 2019 02:18:58 +0000 (13:18 +1100)
commitd2762e70e5fb519d8fcca210b366c9c8bbdd696a
treee19cd0b1d77be5efc36100ebc21c011e2ac7093f
parent48f260761b72924030abc8ff6bc1a727f2dbf331
Add option to not flatten hierarchy

Vivado by default tries to flatten the module hierarchy to improve
placement and timing. However this makes debugging timing issues
really hard as the net names in the timing report can be pretty
bogus.

This adds a generic that can be used to control attributes to stop
vivado from flattening the main core components. The resulting design
will have worst timing overall but it will be easier to understand
what the worst timing path are and address them.

Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
core.vhdl
fpga/toplevel.vhdl
microwatt.core
soc.vhdl