Added "yosys -D" feature
authorClifford Wolf <clifford@clifford.at>
Thu, 21 Apr 2016 21:28:37 +0000 (23:28 +0200)
committerClifford Wolf <clifford@clifford.at>
Thu, 21 Apr 2016 21:28:37 +0000 (23:28 +0200)
commit0bc95f1e049afc35bb5ea30663b0a5725dfbf584
tree3a8641d3a9bb5794a24145ce368f3db7a3963709
parent1565d1af69f552b790aa43fd6be194ee59ab76f3
Added "yosys -D" feature
113 files changed:
backends/blif/blif.cc
backends/btor/btor.cc
backends/edif/edif.cc
backends/ilang/ilang_backend.cc
backends/intersynth/intersynth.cc
backends/json/json.cc
backends/smt2/smt2.cc
backends/smv/smv.cc
backends/spice/spice.cc
backends/verilog/verilog_backend.cc
frontends/ast/ast.cc
frontends/blif/blifparse.cc
frontends/ilang/ilang_frontend.cc
frontends/liberty/liberty.cc
frontends/verific/verific.cc
frontends/verilog/verilog_frontend.cc
frontends/vhdl2verilog/vhdl2verilog.cc
kernel/driver.cc
kernel/log.cc
kernel/log.h
kernel/register.cc
manual/CHAPTER_Prog/stubnets.cc
manual/PRESENTATION_Prog.tex
manual/PRESENTATION_Prog/my_cmd.cc
passes/cmds/check.cc
passes/cmds/connwrappers.cc
passes/cmds/cover.cc
passes/cmds/qwp.cc
passes/cmds/scc.cc
passes/cmds/show.cc
passes/cmds/splice.cc
passes/cmds/splitnets.cc
passes/cmds/stat.cc
passes/cmds/torder.cc
passes/equiv/equiv_induct.cc
passes/equiv/equiv_make.cc
passes/equiv/equiv_mark.cc
passes/equiv/equiv_miter.cc
passes/equiv/equiv_purge.cc
passes/equiv/equiv_remove.cc
passes/equiv/equiv_simple.cc
passes/equiv/equiv_status.cc
passes/equiv/equiv_struct.cc
passes/fsm/fsm.cc
passes/fsm/fsm_detect.cc
passes/fsm/fsm_expand.cc
passes/fsm/fsm_export.cc
passes/fsm/fsm_extract.cc
passes/fsm/fsm_info.cc
passes/fsm/fsm_map.cc
passes/fsm/fsm_opt.cc
passes/fsm/fsm_recode.cc
passes/hierarchy/hierarchy.cc
passes/hierarchy/singleton.cc
passes/hierarchy/submod.cc
passes/memory/memory.cc
passes/memory/memory_bram.cc
passes/memory/memory_collect.cc
passes/memory/memory_dff.cc
passes/memory/memory_map.cc
passes/memory/memory_share.cc
passes/memory/memory_unpack.cc
passes/opt/opt.cc
passes/opt/opt_clean.cc
passes/opt/opt_expr.cc
passes/opt/opt_merge.cc
passes/opt/opt_muxtree.cc
passes/opt/opt_reduce.cc
passes/opt/opt_rmdff.cc
passes/opt/share.cc
passes/opt/wreduce.cc
passes/proc/proc.cc
passes/proc/proc_arst.cc
passes/proc/proc_clean.cc
passes/proc/proc_dff.cc
passes/proc/proc_dlatch.cc
passes/proc/proc_init.cc
passes/proc/proc_mux.cc
passes/proc/proc_rmdead.cc
passes/sat/eval.cc
passes/sat/expose.cc
passes/sat/freduce.cc
passes/sat/miter.cc
passes/sat/sat.cc
passes/techmap/abc.cc
passes/techmap/aigmap.cc
passes/techmap/alumacc.cc
passes/techmap/dff2dffe.cc
passes/techmap/dffinit.cc
passes/techmap/dfflibmap.cc
passes/techmap/dffsr2dff.cc
passes/techmap/extract.cc
passes/techmap/hilomap.cc
passes/techmap/iopadmap.cc
passes/techmap/lut2mux.cc
passes/techmap/maccmap.cc
passes/techmap/muxcover.cc
passes/techmap/nlutmap.cc
passes/techmap/pmuxtree.cc
passes/techmap/shregmap.cc
passes/techmap/simplemap.cc
passes/techmap/techmap.cc
passes/techmap/tribuf.cc
passes/tests/test_autotb.cc
techlibs/common/prep.cc
techlibs/common/synth.cc
techlibs/greenpak4/greenpak4_counters.cc
techlibs/greenpak4/synth_greenpak4.cc
techlibs/ice40/ice40_ffinit.cc
techlibs/ice40/ice40_ffssr.cc
techlibs/ice40/ice40_opt.cc
techlibs/ice40/synth_ice40.cc
techlibs/xilinx/synth_xilinx.cc