Reformat writeback
authorAnton Blanchard <anton@linux.ibm.com>
Sun, 21 Mar 2021 23:19:27 +0000 (10:19 +1100)
committerAnton Blanchard <anton@ozlabs.org>
Sun, 21 Mar 2021 23:19:27 +0000 (10:19 +1100)
commit0d86580ac701f3ff614ac44ca3ded1c86b304a2e
tree122e0136cda60b947dc73fecb248d46404760b2f
parentf67b1431655c291fc1c99857a5c1ef624d5b264c
Reformat writeback

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
writeback.vhdl