sv: extended support for integer types
authorZachary Snow <zach@zachjs.com>
Sun, 28 Feb 2021 20:49:16 +0000 (15:49 -0500)
committerZachary Snow <zach@zachjs.com>
Sun, 28 Feb 2021 21:31:56 +0000 (16:31 -0500)
commit0f5b646ab8cd918821ce2924cc5dda01dbcba40f
tree89da906108efa2726fb8dc080062e0d347bc699e
parentd882b6fe3ca3c52b9a72a8282cda92288d198506
sv: extended support for integer types

- Standard data declarations can now use any integer type
- Parameters and localparams can now use any integer type
- Function returns types can now use any integer type
- Fix `parameter logic`, `localparam reg`, etc. to be 1 bit (previously 32 bits)
- Added longint type (64 bits)
- Unified parser source for integer type widths
frontends/verilog/verilog_lexer.l
frontends/verilog/verilog_parser.y
tests/verilog/int_types.sv [new file with mode: 0644]
tests/verilog/int_types.ys [new file with mode: 0644]
tests/verilog/param_int_types.sv [new file with mode: 0644]
tests/verilog/param_int_types.ys [new file with mode: 0644]