Add new tests for ice40 architecture
authorSergeyDegtyar <sndegtyar@gmail.com>
Tue, 20 Aug 2019 04:50:05 +0000 (07:50 +0300)
committerSergeyDegtyar <sndegtyar@gmail.com>
Tue, 20 Aug 2019 04:50:05 +0000 (07:50 +0300)
commit153ec0541c17ee8fad093c002a2724bc33dfe4b9
tree4fbaeb917bb00b1ef6560770e6d2164e6e7229a8
parent749ff864aa708cb069fc5c356e5db69664fdd93e
Add new tests for ice40 architecture
28 files changed:
Makefile
tests/ice40/.gitignore [new file with mode: 0644]
tests/ice40/add_sub.ys [new file with mode: 0644]
tests/ice40/add_sub_tb.v [new file with mode: 0644]
tests/ice40/add_sub_top.v [new file with mode: 0644]
tests/ice40/common.v [new file with mode: 0644]
tests/ice40/dffs.ys [new file with mode: 0644]
tests/ice40/dffs_tb.v [new file with mode: 0644]
tests/ice40/dffs_top.v [new file with mode: 0644]
tests/ice40/div_mod.ys [new file with mode: 0644]
tests/ice40/div_mod_tb.v [new file with mode: 0644]
tests/ice40/div_mod_top.v [new file with mode: 0644]
tests/ice40/latches.ys [new file with mode: 0644]
tests/ice40/latches_tb.v [new file with mode: 0644]
tests/ice40/latches_top.v [new file with mode: 0644]
tests/ice40/memory.ys [new file with mode: 0644]
tests/ice40/memory_tb.v [new file with mode: 0644]
tests/ice40/memory_top.v [new file with mode: 0644]
tests/ice40/mul_pow.ys [new file with mode: 0644]
tests/ice40/mul_pow_tb.v [new file with mode: 0644]
tests/ice40/mul_pow_top.v [new file with mode: 0644]
tests/ice40/mux.ys [new file with mode: 0644]
tests/ice40/mux_tb.v [new file with mode: 0644]
tests/ice40/mux_top.v [new file with mode: 0644]
tests/ice40/run-test.sh [new file with mode: 0755]
tests/ice40/tribuf.ys [new file with mode: 0644]
tests/ice40/tribuf_tb.v [new file with mode: 0644]
tests/ice40/tribuf_top.v [new file with mode: 0644]