Add missing -assert to equiv_opt
authorEddie Hung <eddie@fpgeh.com>
Sat, 7 Sep 2019 05:51:44 +0000 (22:51 -0700)
committerEddie Hung <eddie@fpgeh.com>
Sat, 7 Sep 2019 05:51:44 +0000 (22:51 -0700)
commit173c7936c3c329917ca8eb929163a03aab51811e
tree95b706a36a8a49e6c993c21b46f042493a045ab6
parent8c1a98249457b790895aee76115ddd40ec891555
Add missing -assert to equiv_opt
tests/opt/opt_expr.ys