lib.fifo: in FIFOInterface.read(), check readable on the right cycle.
authorwhitequark <cz@m-labs.hk>
Tue, 22 Jan 2019 07:03:46 +0000 (07:03 +0000)
committerwhitequark <cz@m-labs.hk>
Tue, 22 Jan 2019 07:03:46 +0000 (07:03 +0000)
commit209a71c4feebdbd5dfccf90b5e97b04814969296
tree45d0694fda00f96d06655491e92b20e0a9b3898a
parented5be329dcf81ff7d3222d7642cc173e36649acf
lib.fifo: in FIFOInterface.read(), check readable on the right cycle.
nmigen/lib/fifo.py