support using previously declared types/localparams/params in package
authorJeff Wang <jjj11x@gmail.com>
Tue, 7 Apr 2020 04:37:44 +0000 (00:37 -0400)
committerJeff Wang <jeffrey.wang@ll.mit.edu>
Tue, 7 Apr 2020 04:38:15 +0000 (00:38 -0400)
commit249876b61405a83ed19a9cc20fcffbf9313f2619
tree58327f14d6d09c271218a08837533cbb10c54991
parentebf23cd62e7617ef2510a5bdc7e66ac5f82b7991
support using previously declared types/localparams/params in package

(parameters in systemverilog packages can't actually be overridden, so
allowing parameters in addition to localparams doesn't actually add any
new functionality, but it's useful to be able to use the parameter
keyword also)
frontends/ast/simplify.cc
frontends/verilog/verilog_parser.y
tests/svtypes/typedef_package.sv