Add statement labels for immediate assertions
authorClifford Wolf <clifford@clifford.at>
Fri, 13 Apr 2018 09:52:28 +0000 (11:52 +0200)
committerClifford Wolf <clifford@clifford.at>
Fri, 13 Apr 2018 09:52:28 +0000 (11:52 +0200)
commit2d7f3123f0c74cafcacc18f25e14cd8bba703b4e
tree1415698f06b34472758b86e52e19003aaeec29d8
parent66ffc99695107808bd5fe0c8d09cf386dcb269fd
Add statement labels for immediate assertions

Signed-off-by: Clifford Wolf <clifford@clifford.at>
frontends/verilog/verilog_parser.y