Added support for $adff with undef data inputs to opt_rmdff
authorClifford Wolf <clifford@clifford.at>
Fri, 17 Jan 2014 15:42:40 +0000 (16:42 +0100)
committerClifford Wolf <clifford@clifford.at>
Fri, 17 Jan 2014 15:42:40 +0000 (16:42 +0100)
commit2e370d5a2f364a469f11a2dc6f4217a9e9880a1c
tree0b0d823ab22dfdb0851f7d5d6e1651a4bc4cf36e
parent651ce67d979d355c53e9bf17b91b22368adca072
Added support for $adff with undef data inputs to opt_rmdff
passes/opt/opt_rmdff.cc