Get rid of dffsr2dff.
authorMarcelina Kościelnicka <mwk@0x04.net>
Sat, 11 Apr 2020 16:36:51 +0000 (18:36 +0200)
committerMarcelina Kościelnicka <mwk@0x04.net>
Wed, 15 Apr 2020 14:22:37 +0000 (16:22 +0200)
commit38a0c30d65584335fee3e17f9075711853638ac3
tree755ca0e57e007803dabc023c284d960e4c305d03
parent85166633bc981b02e31167134917fc0b523e8eda
Get rid of dffsr2dff.

This pass is a proper subset of opt_rmdff, which is called by opt, which
is called by every synth flow in the coarse part.  Thus, it never
actually does anything and can be safely removed.
13 files changed:
CHANGELOG
manual/command-reference-manual.tex
passes/techmap/Makefile.inc
passes/techmap/dffsr2dff.cc [deleted file]
techlibs/achronix/synth_achronix.cc
techlibs/anlogic/synth_anlogic.cc
techlibs/ecp5/synth_ecp5.cc
techlibs/efinix/synth_efinix.cc
techlibs/gowin/synth_gowin.cc
techlibs/ice40/synth_ice40.cc
techlibs/intel/synth_intel.cc
techlibs/sf2/synth_sf2.cc
techlibs/xilinx/synth_xilinx.cc