vendor.xilinx_{7series,ultrascale}: set BUFG* SIM_DEVICE as appropriate.
authorwhitequark <whitequark@whitequark.org>
Wed, 26 Aug 2020 10:18:02 +0000 (10:18 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 31 Dec 2021 15:06:32 +0000 (15:06 +0000)
commit3a175a829ce3056e70f0177a25aaf6fd59c1816d
tree3d39302a2c1e7d728d8eac04b767c6a0f202c185
parentacabce0e983d9b9a6b61d828026a9b28c1b2a4a5
vendor.xilinx_{7series,ultrascale}: set BUFG* SIM_DEVICE as appropriate.

Fixes #438 (again).
nmigen/vendor/xilinx_7series.py
nmigen/vendor/xilinx_ultrascale.py