Fix building with yosys/nextpnr
authorMichael Neuling <mikey@neuling.org>
Mon, 22 Jun 2020 03:09:09 +0000 (13:09 +1000)
committerMichael Neuling <mikey@neuling.org>
Thu, 2 Jul 2020 04:53:15 +0000 (14:53 +1000)
commit3e0ac8c94c6ee828e5dffcb7ba6b8f6174cb3eb1
tree60f2aa6e1600c369e30fbd3cf4e9c94dd7a55ca2
parent3460afb55765eea537d36a7d48fcdadfdbec50fd
Fix building with yosys/nextpnr

Add --no-formal so that asserts are removed by yosys as nextpnr
doesn't like them.

This was suggested by @tgingold here:
  https://github.com/YosysHQ/yosys/issues/2068#issuecomment-644545863

Signed-off-by: Michael Neuling <mikey@neuling.org>
Makefile