Metavalue cleanup for register_file.vhdl
authorMichael Neuling <mikey@neuling.org>
Thu, 14 Jul 2022 00:32:37 +0000 (10:32 +1000)
committerMichael Neuling <mikey@neuling.org>
Thu, 28 Jul 2022 00:17:05 +0000 (10:17 +1000)
commit438a76dafd95c8efbb54c93c74dc1feb1e2e42a9
treef477ed201e78548b4edc27a03f3b97c1c8dddb54
parent7a3e5cac3b628c93b02c7b2914b2b0cb960bf234
Metavalue cleanup for register_file.vhdl

Signed-off-by: Michael Neuling <mikey@neuling.org>
register_file.vhdl