Metavalue cleanup for rotator.vhdl
authorMichael Neuling <mikey@neuling.org>
Thu, 14 Jul 2022 00:32:37 +0000 (10:32 +1000)
committerMichael Neuling <mikey@neuling.org>
Thu, 28 Jul 2022 00:16:14 +0000 (10:16 +1000)
commit43e62dbd9e3959dd6198c59f5c5c8ccebb12cf72
treea41130f30be01de9ace2754ddc3a862d87c32168
parent5a03de4c905b7449ac89e66b1aa5039e3cf4c1c2
Metavalue cleanup for rotator.vhdl

Signed-off-by: Michael Neuling <mikey@neuling.org>
rotator.vhdl