test_lib_fifo: define all referenced FSM states.
authorwhitequark <whitequark@whitequark.org>
Thu, 6 Feb 2020 18:10:15 +0000 (18:10 +0000)
committerwhitequark <whitequark@whitequark.org>
Thu, 6 Feb 2020 18:10:15 +0000 (18:10 +0000)
commit463e5c7c3f302d626ba22b52ce6c345969b733cd
treeea5758bb7dcb7d2c077404e3dccb04e6e5a6fd15
parentfafe21d9ac339321cf90642270f8cfdf126a1708
test_lib_fifo: define all referenced FSM states.

Broken in commit a1c58633.
nmigen/test/test_lib_fifo.py