Change how to specify flops to ABC again
authorEddie Hung <eddie@fpgeh.com>
Thu, 11 Jul 2019 00:54:56 +0000 (17:54 -0700)
committerEddie Hung <eddie@fpgeh.com>
Thu, 11 Jul 2019 00:54:56 +0000 (17:54 -0700)
commit4a995c5d80735baf1431a088c2faf81eb75fdaf6
tree42fb710b8ed546147f14b2f7ad2620b56e01fd1e
parenta092c48f036b71cc4014ec6f2865297d49589d40
Change how to specify flops to ABC again
backends/aiger/xaiger.cc
frontends/aiger/aigerparse.cc
techlibs/xilinx/abc_ff.v