Fix in Verific SVA importer handling of until_with
authorClifford Wolf <clifford@clifford.at>
Thu, 1 Mar 2018 18:37:36 +0000 (19:37 +0100)
committerClifford Wolf <clifford@clifford.at>
Thu, 1 Mar 2018 18:37:36 +0000 (19:37 +0100)
commit4e5f1f59d66b96c5e0592e4f9810cca5d55c6894
treebd6fd3555097d89d0bb3c3760e3c330187d9ab91
parent90ae42607850a51da55fd5c6fba20ebb02ef6226
Fix in Verific SVA importer handling of until_with

Signed-off-by: Clifford Wolf <clifford@clifford.at>
frontends/verific/verificsva.cc