techmap: Add support for [] wildcards in techmap_celltype.
authorMarcelina Kościelnicka <mwk@0x04.net>
Sun, 2 Aug 2020 10:31:25 +0000 (12:31 +0200)
committerMarcelina Kościelnicka <mwk@0x04.net>
Sun, 2 Aug 2020 20:46:48 +0000 (22:46 +0200)
commit522788f0165899b5b73f12ee283b4c135fb86792
tree0482665dc43787b076fa96593dbc4b3c0e9d7b61
parentc39ebe6ae0e41cf9a84da852fa3cf9f71937a9b2
techmap: Add support for [] wildcards in techmap_celltype.

Fixes #1826.
passes/techmap/techmap.cc
techlibs/common/abc9_map.v
techlibs/efinix/cells_map.v