vendor.intel: add Quartus support.
authorwhitequark <cz@m-labs.hk>
Wed, 21 Aug 2019 22:14:33 +0000 (22:14 +0000)
committerwhitequark <cz@m-labs.hk>
Thu, 10 Oct 2019 00:35:13 +0000 (00:35 +0000)
commit54a3fc24906a54be1f20750460cb868d8279e1e4
tree3da29f94b6a832bbff96db518fe0123d8a9e423a
parentef711c183fe59aca05fe84729bba9c04c1cab67e
vendor.intel: add Quartus support.

Co-authored-by: Dan Ravensloft <dan.ravensloft@gmail.com>
nmigen/back/verilog.py
nmigen/build/plat.py
nmigen/vendor/intel.py [new file with mode: 0644]