test: add another testcase as per @nakengelhardt
authorEddie Hung <eddie@fpgeh.com>
Thu, 14 May 2020 15:36:36 +0000 (08:36 -0700)
committerEddie Hung <eddie@fpgeh.com>
Thu, 14 May 2020 15:36:36 +0000 (08:36 -0700)
commit56a5b1d2daf1b244990d81f32183034071ebd185
tree675dae9473a9e2d5ba62056d15b0887417cc89cf
parent237962debd9fcb7e9fb45f53bc8a53f0c34d9888
test: add another testcase as per @nakengelhardt
tests/verilog/bug2042-sv.ys