_toolchain,build.plat,vendor.*: add required_tools list and checks.
authorEmily <vcs@emily.moe>
Fri, 30 Aug 2019 23:27:22 +0000 (00:27 +0100)
committerwhitequark <cz@m-labs.hk>
Sat, 31 Aug 2019 00:05:47 +0000 (00:05 +0000)
commit5d174e4c3ce0a0602c432044d99e29f589616b35
tree896f8a94918eb8a51a27d2a639d8b07d805db1d1
parent55cbfa6486b807f1330a7bd12808874797bd81d6
_toolchain,build.plat,vendor.*: add required_tools list and checks.
nmigen/_toolchain.py
nmigen/back/verilog.py
nmigen/build/plat.py
nmigen/test/tools.py
nmigen/vendor/lattice_ecp5.py
nmigen/vendor/lattice_ice40.py
nmigen/vendor/xilinx_7series.py
nmigen/vendor/xilinx_spartan_3_6.py