back.rtlil: fix lowering of Part() on LHS to account for stride.
authorwhitequark <cz@m-labs.hk>
Sat, 26 Oct 2019 01:52:34 +0000 (01:52 +0000)
committerwhitequark <cz@m-labs.hk>
Sat, 26 Oct 2019 01:52:34 +0000 (01:52 +0000)
commit5f04ef2b518242b9298c4ec4eeadb42573e3e15f
treeac65791802a80e0f72f7f0fe3329cadd4df96ca3
parent1292cbd87ee3937e8aecc585c605e84d8de1c146
back.rtlil: fix lowering of Part() on LHS to account for stride.
examples/basic/sel.py [new file with mode: 0644]
nmigen/back/rtlil.py