Revert "synth_* with -retime option now calls abc with -D 1 as well"
authorEddie Hung <eddie@fpgeh.com>
Thu, 18 Apr 2019 14:59:16 +0000 (07:59 -0700)
committerEddie Hung <eddie@fpgeh.com>
Thu, 18 Apr 2019 14:59:16 +0000 (07:59 -0700)
commit6008bb7002f874e5c748eaa2050e7b6c17b32745
tree144826f52008c12014157b186b3aa0f057c8d79b
parent0642baabbceaf4e34ae03c47136ed987b976cdbb
Revert "synth_* with -retime option now calls abc with -D 1 as well"

This reverts commit 9a6da9a79a22e984ee3eec02caa230b66f10e11a.
techlibs/achronix/synth_achronix.cc
techlibs/anlogic/synth_anlogic.cc
techlibs/coolrunner2/synth_coolrunner2.cc
techlibs/easic/synth_easic.cc
techlibs/ecp5/synth_ecp5.cc
techlibs/gowin/synth_gowin.cc
techlibs/greenpak4/synth_greenpak4.cc
techlibs/ice40/synth_ice40.cc
techlibs/intel/synth_intel.cc
techlibs/sf2/synth_sf2.cc
techlibs/xilinx/synth_xilinx.cc