litedram: Fix DRAM init mem using too many address bits
authorBenjamin Herrenschmidt <benh@kernel.crashing.org>
Tue, 9 Jun 2020 22:36:44 +0000 (08:36 +1000)
committerBenjamin Herrenschmidt <benh@kernel.crashing.org>
Wed, 10 Jun 2020 03:10:57 +0000 (13:10 +1000)
commit63f10450a6e04d57c8cb3e11a9ddc7d7f95a21ac
treed8676bb8ae44943b60002d4a23f97ef098772a16
parenta93d9e77c9cdc69e6e98ceaf12d2c73dcd22230e
litedram: Fix DRAM init mem using too many address bits

Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
litedram/gen-src/dram-init-mem.vhdl
litedram/generated/arty/litedram-initmem.vhdl
litedram/generated/nexys-video/litedram-initmem.vhdl
litedram/generated/sim/litedram-initmem.vhdl