Allow "property" in immediate assertions
authorClifford Wolf <clifford@clifford.at>
Thu, 12 Apr 2018 12:28:28 +0000 (14:28 +0200)
committerClifford Wolf <clifford@clifford.at>
Thu, 12 Apr 2018 12:28:28 +0000 (14:28 +0200)
commit66ffc99695107808bd5fe0c8d09cf386dcb269fd
treee26413cf47972463b621e7c332c29b02d1752fae
parent2f0ecff71cd0ddb6e4ac3cc85950f4d504645b64
Allow "property" in immediate assertions

Signed-off-by: Clifford Wolf <clifford@clifford.at>
frontends/verilog/verilog_parser.y