freedreno/ir3: add assert
authorRob Clark <robdclark@chromium.org>
Wed, 29 May 2019 19:26:08 +0000 (12:26 -0700)
committerRob Clark <robdclark@chromium.org>
Fri, 31 May 2019 19:58:33 +0000 (12:58 -0700)
commit73fb02c5d64665385fe1751ec2f9da41c809a2e1
tree769483c30b5e1ef5c83eda0dcc336adfdc30467a
parent8c74772edc62326fb296e62b55d69012cd81cfb0
freedreno/ir3: add assert

The special handling for last_input assumes that all the varying loads
are in the first block.  Add an assert to catch if anyone breaks that
assumption.

Signed-off-by: Rob Clark <robdclark@chromium.org>
Reviewed-by: Eric Anholt <eric@anholt.net>
src/freedreno/ir3/ir3_legalize.c