dcache: Update PLRU on misses as well as hits
authorBenjamin Herrenschmidt <benh@kernel.crashing.org>
Sun, 2 Oct 2022 06:43:58 +0000 (17:43 +1100)
committerBenjamin Herrenschmidt <benh@kernel.crashing.org>
Sun, 2 Oct 2022 06:43:58 +0000 (17:43 +1100)
commit76f61ef823f03349d4a41791b9c2840259eb3009
tree4a87f0b5c7e7572d77ab4e5d531716458dc548bc
parent3edbbf5f1876a46069dd12f52626ae5042fb55e3
dcache: Update PLRU on misses as well as hits

The current dcache will not update the PLRU on a cache miss which is later
satisfied during the reload process. Thus subsequent misses will potentially
evict the same cache line. The same issue happens with dcbz which are
treated more/less as load misses.

This fixes it by triggering a PLRU update when r1.choose_victim, which is
set on a miss for one cycle to snapshot the PLRU output. This means we will
update the PLRU on the same cycle as we capture its output, which is fine
(the new value will be visible on the next cycle).

That way, a "miss" will result in a PLRU update to reflect that the entry
being refilled is actually used (and will be used to serve subsequent
load operations from the same cache line while being refilled).

Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
dcache.vhdl