loadstore1: Simplify address generation in OP_FETCH_FAILED case
authorPaul Mackerras <paulus@ozlabs.org>
Thu, 28 Jul 2022 22:27:10 +0000 (08:27 +1000)
committerPaul Mackerras <paulus@ozlabs.org>
Tue, 9 Aug 2022 09:51:34 +0000 (19:51 +1000)
commit7a60c118ed6d8901012da13caff8aeded64f6cdc
tree38e31a52c45fd069bd33b35757997a337162137a
parent795b6e2a6b022b4a9c72c51da5d83505d94f5ee1
loadstore1: Simplify address generation in OP_FETCH_FAILED case

Instead of having a multiplexer in loadstore1 in order to be able to
put the instruction address into v.addr, we now set decode.input_reg_a
to CIA in the decode table entry for OP_FETCH_FAILED.  That means that
the operand selection machinery in decode2 will supply the instruction
address to loadstore1 on the lv.addr1 input and no special case is
needed in loadstore1.  This saves a few LUTs (~40 on the Artix-7).

Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
common.vhdl
decode1.vhdl
execute1.vhdl
loadstore1.vhdl