build/sim/verilator: add trace parameter to enable tracer
authorFlorent Kermarrec <florent@enjoy-digital.fr>
Tue, 20 Nov 2018 17:49:01 +0000 (18:49 +0100)
committerFlorent Kermarrec <florent@enjoy-digital.fr>
Tue, 20 Nov 2018 17:54:22 +0000 (18:54 +0100)
commit80bdae0e55cfbf90b82641561eadd52212b429eb
treedbefb42c4334fccb92e55ab33f8a03c2177b6e3e
parent7359a99bf94a550cc62736f0a8d92307702f94ee
build/sim/verilator: add trace parameter to enable tracer
litex/build/sim/core/sim.c
litex/build/sim/verilator.py