Added memhasher (yosys -M)
authorClifford Wolf <clifford@clifford.at>
Sun, 28 Dec 2014 20:27:51 +0000 (21:27 +0100)
committerClifford Wolf <clifford@clifford.at>
Sun, 28 Dec 2014 20:27:51 +0000 (21:27 +0100)
commit8773fd5897d1057dc8f87d4ffd13c96a708080e8
treea64b70c41d5962694969da7a6bef7ebf553999b2
parent445686cba38e949e85031ff95858c21afd1e1463
Added memhasher (yosys -M)
kernel/driver.cc
kernel/rtlil.cc
kernel/yosys.cc
kernel/yosys.h