Added yet another resource sharing test case
authorClifford Wolf <clifford@clifford.at>
Sun, 20 Jul 2014 18:45:01 +0000 (20:45 +0200)
committerClifford Wolf <clifford@clifford.at>
Sun, 20 Jul 2014 19:15:01 +0000 (21:15 +0200)
commit8836943693dcd6fc6e6b74141ca8c89e9b8c1f0e
tree03acce68a697965c56f9e59ce72c4778a2d14c72
parent04fcb07213291f469d208ceca2a32fb8c2fe3215
Added yet another resource sharing test case
tests/sat/share.v [new file with mode: 0644]
tests/sat/share.ys [new file with mode: 0644]