Only use non-blocking assignments of SB_RAM40_4K for yosys
authorOlof Kindgren <olof.kindgren@gmail.com>
Thu, 6 Dec 2018 20:45:59 +0000 (21:45 +0100)
committerOlof Kindgren <olof.kindgren@gmail.com>
Thu, 6 Dec 2018 20:45:59 +0000 (21:45 +0100)
commit889297c62a6e99c41995bcb3606e8be4490a2c9c
treecaeb415fc7aca0750d10dc8f67427a3f3e12b6ae
parent7d1088afc453f57f0ecc0f55ac2c91fd72ad8f2e
Only use non-blocking assignments of SB_RAM40_4K for yosys

In an initial statement, blocking assignments are normally used
and e.g. verilator throws a warning if non-blocking ones are used.

Yosys cannot however properly resolve the interdependencies if
blocking assignments are used in the initialization of SB_RAM_40_4K
and thus this has been used.

This patch will change to use non-blocking assignments only for yosys
techlibs/ice40/cells_sim.v