lib.fifo: change FIFOInterface() diagnostics to follow Memory().
authorwhitequark <cz@m-labs.hk>
Mon, 23 Sep 2019 11:03:50 +0000 (11:03 +0000)
committerwhitequark <cz@m-labs.hk>
Mon, 23 Sep 2019 11:03:50 +0000 (11:03 +0000)
commit8bd7a6c170b68e245f3423e36ce31a3d7e041ceb
tree1062dd1c02d560c10c5ef793161e2bc8a3c8ae64
parent0452c2e3ecd32ee6d9c7dada179c86f02a0585be
lib.fifo: change FIFOInterface() diagnostics to follow Memory().
nmigen/lib/fifo.py
nmigen/test/test_lib_fifo.py