Added memory_bram (not functional yet)
authorClifford Wolf <clifford@clifford.at>
Wed, 31 Dec 2014 15:53:53 +0000 (16:53 +0100)
committerClifford Wolf <clifford@clifford.at>
Wed, 31 Dec 2014 15:53:53 +0000 (16:53 +0100)
commit94e6b70736934bd8ebb09c7cc74cfd443bd1d9eb
treea5f5fbfaa3989b470345b9f620a59e15f7ad4070
parent1e08621e7e2c219169b3b6c5fe1d581052e4d429
Added memory_bram (not functional yet)
kernel/yosys.h
passes/memory/Makefile.inc
passes/memory/memory_bram.cc [new file with mode: 0644]
techlibs/xilinx/brams.txt [new file with mode: 0644]