Replace opt_rmdff with opt_dff.
authorMarcelina Kościelnicka <mwk@0x04.net>
Mon, 20 Jul 2020 21:19:51 +0000 (23:19 +0200)
committerMarcelina Kościelnicka <mwk@0x04.net>
Fri, 7 Aug 2020 11:21:03 +0000 (13:21 +0200)
commit9a4f420b4b8285bd05181b6988c35ce45e3c979a
tree666157812aec1784c97967295716c636b1374d16
parentc39ebe6ae0e41cf9a84da852fa3cf9f71937a9b2
Replace opt_rmdff with opt_dff.
18 files changed:
CHANGELOG
passes/opt/opt.cc
passes/techmap/abc9.cc
techlibs/common/synth.cc
techlibs/ecp5/synth_ecp5.cc
techlibs/gowin/synth_gowin.cc
techlibs/ice40/synth_ice40.cc
techlibs/intel/synth_intel.cc
techlibs/intel_alm/synth_intel_alm.cc
techlibs/xilinx/synth_xilinx.cc
tests/arch/anlogic/dffs.ys
tests/arch/ecp5/fsm.ys
tests/arch/efinix/adffs.ys
tests/arch/efinix/dffs.ys
tests/arch/gowin/init.ys
tests/arch/intel_alm/adffs.ys
tests/arch/intel_alm/fsm.ys
tests/tools/autotest.sh