Fix ecp5 tests
authorSergeyDegtyar <sndegtyar@gmail.com>
Wed, 4 Sep 2019 09:15:52 +0000 (12:15 +0300)
committerSergeyDegtyar <sndegtyar@gmail.com>
Wed, 4 Sep 2019 09:15:52 +0000 (12:15 +0300)
commita203c8569cb6fc7093a5d09e4c64d8e545f81e39
tree51c493a25013cb5fc97bce69d435861d8f87ff33
parent55fbc1a355a6139872a176318356ecdb71a35f5d
Fix ecp5 tests

- remove *_synth.v files and generation in scripts;
- change synth_ice40 to synth_ecp5;
tests/ecp5/alu.ys
tests/ecp5/counter.ys
tests/ecp5/dpram.ys
tests/ecp5/dpram_synth.v [deleted file]
tests/ecp5/fsm.ys
tests/ecp5/latches.ys
tests/ecp5/latches_synth.v [deleted file]
tests/ecp5/logic.ys
tests/ecp5/memory.ys
tests/ecp5/memory_synth.v [deleted file]
tests/ecp5/shifter.ys