decode2: Reduce metavalue warnings
authorPaul Mackerras <paulus@ozlabs.org>
Fri, 12 Aug 2022 09:46:45 +0000 (19:46 +1000)
committerPaul Mackerras <paulus@ozlabs.org>
Fri, 12 Aug 2022 10:22:33 +0000 (20:22 +1000)
commita2d391dcaed019ba6633392aba217cef034cbed0
tree6b00c1aa5ffab7928caac94abb12aac942a72e5d
parent4fcc2500c2ca8ea82080f3646ecec550d59663ae
decode2: Reduce metavalue warnings

Explicitly check for undefined values and propagate them.

Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
decode2.vhdl