Revert "Revert "synth_* with -retime option now calls abc with -D 1 as well""
authorEddie Hung <eddie@fpgeh.com>
Mon, 30 Dec 2019 20:09:53 +0000 (12:09 -0800)
committerEddie Hung <eddie@fpgeh.com>
Mon, 30 Dec 2019 21:28:29 +0000 (13:28 -0800)
commitaa6d06c1b5b0083096ad547b0ad2600fcdc854f4
tree29cbf3ff8f04f891e6c948e37bf2061f3865f335
parent566d9fb77f8688022ae7247fa9466a4327b2adb7
Revert "Revert "synth_* with -retime option now calls abc with -D 1 as well""

This reverts commit 6008bb7002f874e5c748eaa2050e7b6c17b32745.
techlibs/achronix/synth_achronix.cc
techlibs/anlogic/synth_anlogic.cc
techlibs/coolrunner2/synth_coolrunner2.cc
techlibs/easic/synth_easic.cc
techlibs/ecp5/synth_ecp5.cc
techlibs/gowin/synth_gowin.cc
techlibs/greenpak4/synth_greenpak4.cc
techlibs/ice40/synth_ice40.cc
techlibs/intel/synth_intel.cc
techlibs/sf2/synth_sf2.cc
techlibs/xilinx/synth_xilinx.cc