add testcase exposing #137
authorN. Engelhardt <nak@symbioticeda.com>
Wed, 12 Jan 2022 10:06:05 +0000 (11:06 +0100)
committerN. Engelhardt <nak@symbioticeda.com>
Wed, 12 Jan 2022 10:06:05 +0000 (11:06 +0100)
commitad07ea0e8590fb8fba523701c9e72b521fe0cf0c
treea5eae0e84009cb22daaded305f04ff12dc0602f0
parent5a04ac3fccc91b86e8b66e7fab31ff5c261e1c51
add testcase exposing #137
tests/multi_assert.sby [new file with mode: 0644]