back.rtlil: do not emit $next wires for comb signals.
authorwhitequark <cz@m-labs.hk>
Tue, 2 Jul 2019 18:05:34 +0000 (18:05 +0000)
committerwhitequark <cz@m-labs.hk>
Tue, 2 Jul 2019 18:05:34 +0000 (18:05 +0000)
commitae433fd1d6943abdb16c2e761a073f1489c6e4d3
tree38120b37f7d56fbd9e81257109b9ae4bfa337166
parent586dd42dffcafbdf9743de5404cbbf2b6e159e61
back.rtlil: do not emit $next wires for comb signals.

According to RTLIL semantics (that was undocumented before today),
the only purpose of `sync always` is to enable inference of latches,
because there is no other way to express them in terms of RTLIL
processes without ending up with a combinatorial loop. But, nMigen
specifically avoids latches, so this is not necessary.

This change results in major improvements in Verilog readability.

See also #98.
nmigen/back/rtlil.py