Added $lcu cell type
authorClifford Wolf <clifford@clifford.at>
Mon, 8 Sep 2014 11:28:23 +0000 (13:28 +0200)
committerClifford Wolf <clifford@clifford.at>
Mon, 8 Sep 2014 11:31:04 +0000 (13:31 +0200)
commitaf0c8873bbc13eea10b3d705061b4cf68fe27c17
treea295ce024870762e0388cd9fcd28c458d86fa0d3
parent48b00dcceab8bb046258cd6f0912636a6e5b232c
Added $lcu cell type
kernel/celltypes.h
kernel/consteval.h
kernel/rtlil.cc
kernel/satgen.h
manual/CHAPTER_CellLib.tex
passes/tests/test_cell.cc
techlibs/common/simlib.v
techlibs/common/techmap.v