vendor.intel: silence meaningless warnings in nMigen files
authorDan Ravensloft <dan.ravensloft@gmail.com>
Sun, 1 Dec 2019 00:07:48 +0000 (00:07 +0000)
committerwhitequark <cz@m-labs.hk>
Sun, 1 Dec 2019 00:07:48 +0000 (00:07 +0000)
commitbb27f249774130966275785d7d3f855951f62afa
tree3aecaa31a0cf303e50338c174e212e421116db2a
parentad449b606b627bdab89fac380b185a58658858ad
vendor.intel: silence meaningless warnings in nMigen files
nmigen/vendor/intel.py