_toolchain,build.plat,vendor.*: add required_tools list and checks.
authorEmily <vcs@emily.moe>
Fri, 30 Aug 2019 23:27:22 +0000 (00:27 +0100)
committerwhitequark <whitequark@whitequark.org>
Sat, 31 Aug 2019 00:05:47 +0000 (00:05 +0000)
commitc4e8ac734f3f3eaf1438786362e4d55fdbc3d539
tree896f8a94918eb8a51a27d2a639d8b07d805db1d1
parent4e91710933da81484226e7a9345516a25b5206b3
_toolchain,build.plat,vendor.*: add required_tools list and checks.
nmigen/_toolchain.py
nmigen/back/verilog.py
nmigen/build/plat.py
nmigen/test/tools.py
nmigen/vendor/lattice_ecp5.py
nmigen/vendor/lattice_ice40.py
nmigen/vendor/xilinx_7series.py
nmigen/vendor/xilinx_spartan_3_6.py